diff --git a/.DS_Store b/.DS_Store index 6fce37a..861d806 100644 Binary files a/.DS_Store and b/.DS_Store differ diff --git a/README.md b/README.md index 279123d..4f328b3 100644 --- a/README.md +++ b/README.md @@ -20,7 +20,7 @@ * [史上最简单的SpringCloud教程 | 第八篇: 消息总线(Spring Cloud Bus)](http://blog.csdn.net/forezp/article/details/70148235) * [史上最简单的SpringCloud教程 | 第九篇: 服务链路追踪(Spring Cloud Sleuth)](http://blog.csdn.net/forezp/article/details/70162074) * [史上最简单的SpringCloud教程 | 第十篇: 高可用的服务注册中心](http://blog.csdn.net/forezp/article/details/70183572) -* 史上最简单的SpringCloud教程 | 第十一篇: docker部署 springcloud入门 +* [史上最简单的SpringCloud教程 | 第十一篇:docker部署spring cloud项目](http://blog.csdn.net/forezp/article/details/70198649) * 未完。。。 * 还有很多篇。。。 diff --git a/chapter12/.idea/compiler.xml b/chapter12/.idea/compiler.xml new file mode 100755 index 0000000..c5c9b3b --- /dev/null +++ b/chapter12/.idea/compiler.xml @@ -0,0 +1,20 @@ + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/encodings.xml b/chapter12/.idea/encodings.xml new file mode 100755 index 0000000..1f4404b --- /dev/null +++ b/chapter12/.idea/encodings.xml @@ -0,0 +1,7 @@ + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/kotlinc.xml b/chapter12/.idea/kotlinc.xml new file mode 100755 index 0000000..1c24f9a --- /dev/null +++ b/chapter12/.idea/kotlinc.xml @@ -0,0 +1,7 @@ + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__antlr_antlr_2_7_7.xml b/chapter12/.idea/libraries/Maven__antlr_antlr_2_7_7.xml new file mode 100755 index 0000000..85dd96d --- /dev/null +++ b/chapter12/.idea/libraries/Maven__antlr_antlr_2_7_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml b/chapter12/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml new file mode 100755 index 0000000..750f9e7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__aopalliance_aopalliance_1_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_classic_1_1_11.xml b/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_classic_1_1_11.xml new file mode 100755 index 0000000..4c3a8bc --- /dev/null +++ b/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_classic_1_1_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_core_1_1_11.xml b/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_core_1_1_11.xml new file mode 100755 index 0000000..df852c6 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__ch_qos_logback_logback_core_1_1_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_classmate_1_3_3.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_classmate_1_3_3.xml new file mode 100755 index 0000000..34cc275 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_classmate_1_3_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_annotations_2_8_0.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_annotations_2_8_0.xml new file mode 100755 index 0000000..6946687 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_annotations_2_8_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_core_2_8_7.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_core_2_8_7.xml new file mode 100755 index 0000000..2534243 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_core_2_8_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_databind_2_8_7.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_databind_2_8_7.xml new file mode 100755 index 0000000..bce32dc --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_core_jackson_databind_2_8_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_dataformat_jackson_dataformat_xml_2_8_7.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_dataformat_jackson_dataformat_xml_2_8_7.xml new file mode 100755 index 0000000..3341a85 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_dataformat_jackson_dataformat_xml_2_8_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_afterburner_2_8_7.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_afterburner_2_8_7.xml new file mode 100755 index 0000000..4627bf5 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_afterburner_2_8_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_jaxb_annotations_2_8_7.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_jaxb_annotations_2_8_7.xml new file mode 100755 index 0000000..04f4197 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_jackson_module_jackson_module_jaxb_annotations_2_8_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_fasterxml_woodstox_woodstox_core_5_0_3.xml b/chapter12/.idea/libraries/Maven__com_fasterxml_woodstox_woodstox_core_5_0_3.xml new file mode 100755 index 0000000..8a3c830 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_fasterxml_woodstox_woodstox_core_5_0_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_code_findbugs_jsr305_3_0_1.xml b/chapter12/.idea/libraries/Maven__com_google_code_findbugs_jsr305_3_0_1.xml new file mode 100755 index 0000000..bb5e92c --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_code_findbugs_jsr305_3_0_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_code_gson_gson_2_8_0.xml b/chapter12/.idea/libraries/Maven__com_google_code_gson_gson_2_8_0.xml new file mode 100755 index 0000000..2c629d8 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_code_gson_gson_2_8_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_guava_guava_18_0.xml b/chapter12/.idea/libraries/Maven__com_google_guava_guava_18_0.xml new file mode 100755 index 0000000..01918ef --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_guava_guava_18_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_assistedinject_4_0.xml b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_assistedinject_4_0.xml new file mode 100755 index 0000000..4ac4264 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_assistedinject_4_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_grapher_4_0.xml b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_grapher_4_0.xml new file mode 100755 index 0000000..c95d420 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_grapher_4_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_multibindings_4_0.xml b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_multibindings_4_0.xml new file mode 100755 index 0000000..a8acb49 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_inject_extensions_guice_multibindings_4_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_google_inject_guice_4_1_0.xml b/chapter12/.idea/libraries/Maven__com_google_inject_guice_4_1_0.xml new file mode 100755 index 0000000..67132b6 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_google_inject_guice_4_1_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_jayway_jsonpath_json_path_2_2_0.xml b/chapter12/.idea/libraries/Maven__com_jayway_jsonpath_json_path_2_2_0.xml new file mode 100755 index 0000000..3a43866 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_jayway_jsonpath_json_path_2_2_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_archaius_archaius_core_0_7_4.xml b/chapter12/.idea/libraries/Maven__com_netflix_archaius_archaius_core_0_7_4.xml new file mode 100755 index 0000000..3f7487f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_archaius_archaius_core_0_7_4.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_client_1_6_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_client_1_6_1.xml new file mode 100755 index 0000000..c7eed3d --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_client_1_6_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_core_1_6_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_core_1_6_1.xml new file mode 100755 index 0000000..f3865aa --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_eureka_eureka_core_1_6_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_1_12_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_1_12_10.xml new file mode 100755 index 0000000..2e7c8ea --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_1_12_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_api_1_12_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_api_1_12_10.xml new file mode 100755 index 0000000..4adb3b1 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_api_1_12_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_core_1_12_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_core_1_12_10.xml new file mode 100755 index 0000000..32f78ed --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_governator_governator_core_1_12_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_core_1_5_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_core_1_5_10.xml new file mode 100755 index 0000000..376a787 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_core_1_5_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_javanica_1_5_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_javanica_1_5_10.xml new file mode 100755 index 0000000..4aa0dad --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_javanica_1_5_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_metrics_event_stream_1_5_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_metrics_event_stream_1_5_10.xml new file mode 100755 index 0000000..2029d9f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_metrics_event_stream_1_5_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_serialization_1_5_10.xml b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_serialization_1_5_10.xml new file mode 100755 index 0000000..a3efd2f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_hystrix_hystrix_serialization_1_5_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_commons_util_0_1_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_commons_util_0_1_1.xml new file mode 100755 index 0000000..72398f0 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_commons_util_0_1_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_eventbus_0_3_0.xml b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_eventbus_0_3_0.xml new file mode 100755 index 0000000..210608f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_eventbus_0_3_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_infix_0_3_0.xml b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_infix_0_3_0.xml new file mode 100755 index 0000000..2930e56 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_infix_0_3_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_statistics_0_1_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_statistics_0_1_1.xml new file mode 100755 index 0000000..7674ea1 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_netflix_commons_netflix_statistics_0_1_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_2_2_2.xml new file mode 100755 index 0000000..f50fd30 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_core_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_core_2_2_2.xml new file mode 100755 index 0000000..4452fdb --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_core_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_eureka_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_eureka_2_2_2.xml new file mode 100755 index 0000000..2662bb3 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_eureka_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_httpclient_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_httpclient_2_2_2.xml new file mode 100755 index 0000000..3fcc828 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_httpclient_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_loadbalancer_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_loadbalancer_2_2_2.xml new file mode 100755 index 0000000..f00f7b2 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_loadbalancer_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_transport_2_2_2.xml b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_transport_2_2_2.xml new file mode 100755 index 0000000..d4502fe --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_ribbon_ribbon_transport_2_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_core_0_10_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_core_0_10_1.xml new file mode 100755 index 0000000..c1eaa06 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_core_0_10_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_internal_0_10_1.xml b/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_internal_0_10_1.xml new file mode 100755 index 0000000..39aa7d5 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_netflix_servo_servo_internal_0_10_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_sun_jersey_contribs_jersey_apache_client4_1_19_1.xml b/chapter12/.idea/libraries/Maven__com_sun_jersey_contribs_jersey_apache_client4_1_19_1.xml new file mode 100755 index 0000000..6cca038 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_sun_jersey_contribs_jersey_apache_client4_1_19_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_client_1_19_1.xml b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_client_1_19_1.xml new file mode 100755 index 0000000..3524eef --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_client_1_19_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_core_1_19_1.xml b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_core_1_19_1.xml new file mode 100755 index 0000000..c9e57e1 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_core_1_19_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_server_1_19_1.xml b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_server_1_19_1.xml new file mode 100755 index 0000000..d21adf9 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_server_1_19_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_servlet_1_19_1.xml b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_servlet_1_19_1.xml new file mode 100755 index 0000000..d97d987 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_sun_jersey_jersey_servlet_1_19_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_thoughtworks_xstream_xstream_1_4_9.xml b/chapter12/.idea/libraries/Maven__com_thoughtworks_xstream_xstream_1_4_9.xml new file mode 100755 index 0000000..975bb7c --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_thoughtworks_xstream_xstream_1_4_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__com_vaadin_external_google_android_json_0_0_20131108_vaadin1.xml b/chapter12/.idea/libraries/Maven__com_vaadin_external_google_android_json_0_0_20131108_vaadin1.xml new file mode 100755 index 0000000..4e899c8 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__com_vaadin_external_google_android_json_0_0_20131108_vaadin1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__commons_codec_commons_codec_1_10.xml b/chapter12/.idea/libraries/Maven__commons_codec_commons_codec_1_10.xml new file mode 100755 index 0000000..d76cc83 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__commons_codec_commons_codec_1_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__commons_collections_commons_collections_3_2_2.xml b/chapter12/.idea/libraries/Maven__commons_collections_commons_collections_3_2_2.xml new file mode 100755 index 0000000..b1d9b91 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__commons_collections_commons_collections_3_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__commons_configuration_commons_configuration_1_8.xml b/chapter12/.idea/libraries/Maven__commons_configuration_commons_configuration_1_8.xml new file mode 100755 index 0000000..d079573 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__commons_configuration_commons_configuration_1_8.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__commons_jxpath_commons_jxpath_1_3.xml b/chapter12/.idea/libraries/Maven__commons_jxpath_commons_jxpath_1_3.xml new file mode 100755 index 0000000..ef1bc1a --- /dev/null +++ b/chapter12/.idea/libraries/Maven__commons_jxpath_commons_jxpath_1_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__commons_lang_commons_lang_2_6.xml b/chapter12/.idea/libraries/Maven__commons_lang_commons_lang_2_6.xml new file mode 100755 index 0000000..d476d0c --- /dev/null +++ b/chapter12/.idea/libraries/Maven__commons_lang_commons_lang_2_6.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_buffer_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_buffer_4_0_27_Final.xml new file mode 100755 index 0000000..df29868 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_buffer_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_codec_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_codec_4_0_27_Final.xml new file mode 100755 index 0000000..b097e7d --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_codec_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_codec_http_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_codec_http_4_0_27_Final.xml new file mode 100755 index 0000000..eb5cb31 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_codec_http_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_common_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_common_4_0_27_Final.xml new file mode 100755 index 0000000..94c24cb --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_common_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_handler_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_handler_4_0_27_Final.xml new file mode 100755 index 0000000..37f1fc8 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_handler_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_transport_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_transport_4_0_27_Final.xml new file mode 100755 index 0000000..4db3d9f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_transport_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_netty_netty_transport_native_epoll_4_0_27_Final.xml b/chapter12/.idea/libraries/Maven__io_netty_netty_transport_native_epoll_4_0_27_Final.xml new file mode 100755 index 0000000..6d44d5d --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_netty_netty_transport_native_epoll_4_0_27_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_reactivex_rxjava_1_1_10.xml b/chapter12/.idea/libraries/Maven__io_reactivex_rxjava_1_1_10.xml new file mode 100755 index 0000000..3b6b876 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_reactivex_rxjava_1_1_10.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_0_4_9.xml b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_0_4_9.xml new file mode 100755 index 0000000..5aeb637 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_0_4_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_contexts_0_4_9.xml b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_contexts_0_4_9.xml new file mode 100755 index 0000000..cb83275 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_contexts_0_4_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_servo_0_4_9.xml b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_servo_0_4_9.xml new file mode 100755 index 0000000..eb468d6 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__io_reactivex_rxnetty_servo_0_4_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__javax_inject_javax_inject_1.xml b/chapter12/.idea/libraries/Maven__javax_inject_javax_inject_1.xml new file mode 100755 index 0000000..02fc939 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__javax_inject_javax_inject_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__javax_validation_validation_api_1_1_0_Final.xml b/chapter12/.idea/libraries/Maven__javax_validation_validation_api_1_1_0_Final.xml new file mode 100755 index 0000000..c6ed18f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__javax_validation_validation_api_1_1_0_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__javax_ws_rs_jsr311_api_1_1_1.xml b/chapter12/.idea/libraries/Maven__javax_ws_rs_jsr311_api_1_1_1.xml new file mode 100755 index 0000000..b103464 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__javax_ws_rs_jsr311_api_1_1_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__javax_xml_stream_stax_api_1_0_2.xml b/chapter12/.idea/libraries/Maven__javax_xml_stream_stax_api_1_0_2.xml new file mode 100755 index 0000000..64748a8 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__javax_xml_stream_stax_api_1_0_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__joda_time_joda_time_2_9_7.xml b/chapter12/.idea/libraries/Maven__joda_time_joda_time_2_9_7.xml new file mode 100755 index 0000000..21358c7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__joda_time_joda_time_2_9_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__junit_junit_4_12.xml b/chapter12/.idea/libraries/Maven__junit_junit_4_12.xml new file mode 100755 index 0000000..2740d8a --- /dev/null +++ b/chapter12/.idea/libraries/Maven__junit_junit_4_12.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__net_minidev_accessors_smart_1_1.xml b/chapter12/.idea/libraries/Maven__net_minidev_accessors_smart_1_1.xml new file mode 100755 index 0000000..1cdbd27 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__net_minidev_accessors_smart_1_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__net_minidev_json_smart_2_2_1.xml b/chapter12/.idea/libraries/Maven__net_minidev_json_smart_2_2_1.xml new file mode 100755 index 0000000..48ffbb2 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__net_minidev_json_smart_2_2_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_antlr_antlr_runtime_3_4.xml b/chapter12/.idea/libraries/Maven__org_antlr_antlr_runtime_3_4.xml new file mode 100755 index 0000000..98f4852 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_antlr_antlr_runtime_3_4.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_antlr_stringtemplate_3_2_1.xml b/chapter12/.idea/libraries/Maven__org_antlr_stringtemplate_3_2_1.xml new file mode 100755 index 0000000..1c0a992 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_antlr_stringtemplate_3_2_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_commons_commons_lang3_3_1.xml b/chapter12/.idea/libraries/Maven__org_apache_commons_commons_lang3_3_1.xml new file mode 100755 index 0000000..84e62e0 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_commons_commons_lang3_3_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_commons_commons_math_2_2.xml b/chapter12/.idea/libraries/Maven__org_apache_commons_commons_math_2_2.xml new file mode 100755 index 0000000..2efd082 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_commons_commons_math_2_2.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpclient_4_5_3.xml b/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpclient_4_5_3.xml new file mode 100755 index 0000000..373425f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpclient_4_5_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpcore_4_4_6.xml b/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpcore_4_4_6.xml new file mode 100755 index 0000000..807e468 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_httpcomponents_httpcore_4_4_6.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_core_8_5_11.xml b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_core_8_5_11.xml new file mode 100755 index 0000000..d354651 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_core_8_5_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_el_8_5_11.xml b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_el_8_5_11.xml new file mode 100755 index 0000000..990a813 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_el_8_5_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_websocket_8_5_11.xml b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_websocket_8_5_11.xml new file mode 100755 index 0000000..1c602a8 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_apache_tomcat_embed_tomcat_embed_websocket_8_5_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_aspectj_aspectjweaver_1_8_9.xml b/chapter12/.idea/libraries/Maven__org_aspectj_aspectjweaver_1_8_9.xml new file mode 100755 index 0000000..ee58290 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_aspectj_aspectjweaver_1_8_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_assertj_assertj_core_2_6_0.xml b/chapter12/.idea/libraries/Maven__org_assertj_assertj_core_2_6_0.xml new file mode 100755 index 0000000..5b0af60 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_assertj_assertj_core_2_6_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_bouncycastle_bcpkix_jdk15on_1_55.xml b/chapter12/.idea/libraries/Maven__org_bouncycastle_bcpkix_jdk15on_1_55.xml new file mode 100755 index 0000000..a8c0eb2 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_bouncycastle_bcpkix_jdk15on_1_55.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_bouncycastle_bcprov_jdk15on_1_55.xml b/chapter12/.idea/libraries/Maven__org_bouncycastle_bcprov_jdk15on_1_55.xml new file mode 100755 index 0000000..10bd676 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_bouncycastle_bcprov_jdk15on_1_55.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_codehaus_jettison_jettison_1_3_7.xml b/chapter12/.idea/libraries/Maven__org_codehaus_jettison_jettison_1_3_7.xml new file mode 100755 index 0000000..a8f0205 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_codehaus_jettison_jettison_1_3_7.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_stax2_api_3_1_4.xml b/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_stax2_api_3_1_4.xml new file mode 100755 index 0000000..0d2f08f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_stax2_api_3_1_4.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_woodstox_core_asl_4_4_1.xml b/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_woodstox_core_asl_4_4_1.xml new file mode 100755 index 0000000..2f360ab --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_codehaus_woodstox_woodstox_core_asl_4_4_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_freemarker_freemarker_2_3_25_incubating.xml b/chapter12/.idea/libraries/Maven__org_freemarker_freemarker_2_3_25_incubating.xml new file mode 100755 index 0000000..4b856f9 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_freemarker_freemarker_2_3_25_incubating.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml b/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml new file mode 100755 index 0000000..da95876 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_core_1_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_library_1_3.xml b/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_library_1_3.xml new file mode 100755 index 0000000..aff48a5 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_hamcrest_hamcrest_library_1_3.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_hdrhistogram_HdrHistogram_2_1_9.xml b/chapter12/.idea/libraries/Maven__org_hdrhistogram_HdrHistogram_2_1_9.xml new file mode 100755 index 0000000..00d16ff --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_hdrhistogram_HdrHistogram_2_1_9.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_hibernate_hibernate_validator_5_3_4_Final.xml b/chapter12/.idea/libraries/Maven__org_hibernate_hibernate_validator_5_3_4_Final.xml new file mode 100755 index 0000000..f841fd7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_hibernate_hibernate_validator_5_3_4_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_jboss_logging_jboss_logging_3_3_0_Final.xml b/chapter12/.idea/libraries/Maven__org_jboss_logging_jboss_logging_3_3_0_Final.xml new file mode 100755 index 0000000..e338609 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_jboss_logging_jboss_logging_3_3_0_Final.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_mockito_mockito_core_1_10_19.xml b/chapter12/.idea/libraries/Maven__org_mockito_mockito_core_1_10_19.xml new file mode 100755 index 0000000..3c1c587 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_mockito_mockito_core_1_10_19.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_objenesis_objenesis_2_1.xml b/chapter12/.idea/libraries/Maven__org_objenesis_objenesis_2_1.xml new file mode 100755 index 0000000..652ec01 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_objenesis_objenesis_2_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_ow2_asm_asm_5_0_4.xml b/chapter12/.idea/libraries/Maven__org_ow2_asm_asm_5_0_4.xml new file mode 100755 index 0000000..2fe5024 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_ow2_asm_asm_5_0_4.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_skyscreamer_jsonassert_1_4_0.xml b/chapter12/.idea/libraries/Maven__org_skyscreamer_jsonassert_1_4_0.xml new file mode 100755 index 0000000..bd68d17 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_skyscreamer_jsonassert_1_4_0.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_slf4j_jcl_over_slf4j_1_7_24.xml b/chapter12/.idea/libraries/Maven__org_slf4j_jcl_over_slf4j_1_7_24.xml new file mode 100755 index 0000000..ffb2412 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_slf4j_jcl_over_slf4j_1_7_24.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_slf4j_jul_to_slf4j_1_7_24.xml b/chapter12/.idea/libraries/Maven__org_slf4j_jul_to_slf4j_1_7_24.xml new file mode 100755 index 0000000..b9f53c9 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_slf4j_jul_to_slf4j_1_7_24.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_slf4j_log4j_over_slf4j_1_7_24.xml b/chapter12/.idea/libraries/Maven__org_slf4j_log4j_over_slf4j_1_7_24.xml new file mode 100755 index 0000000..bd985d7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_slf4j_log4j_over_slf4j_1_7_24.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_slf4j_slf4j_api_1_7_24.xml b/chapter12/.idea/libraries/Maven__org_slf4j_slf4j_api_1_7_24.xml new file mode 100755 index 0000000..d3e8de4 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_slf4j_slf4j_api_1_7_24.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_1_5_2_RELEASE.xml new file mode 100755 index 0000000..320673e --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_actuator_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_actuator_1_5_2_RELEASE.xml new file mode 100755 index 0000000..683ac72 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_actuator_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_autoconfigure_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_autoconfigure_1_5_2_RELEASE.xml new file mode 100755 index 0000000..60b146b --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_autoconfigure_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_1_5_2_RELEASE.xml new file mode 100755 index 0000000..9067eea --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_actuator_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_actuator_1_5_2_RELEASE.xml new file mode 100755 index 0000000..49e7e16 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_actuator_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_freemarker_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_freemarker_1_5_2_RELEASE.xml new file mode 100755 index 0000000..c8feeb6 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_freemarker_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_logging_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_logging_1_5_2_RELEASE.xml new file mode 100755 index 0000000..a9f8fd9 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_logging_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_test_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_test_1_5_2_RELEASE.xml new file mode 100755 index 0000000..80ff3d1 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_test_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_tomcat_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_tomcat_1_5_2_RELEASE.xml new file mode 100755 index 0000000..2fe7e20 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_tomcat_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_web_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_web_1_5_2_RELEASE.xml new file mode 100755 index 0000000..982f7ec --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_starter_web_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_1_5_2_RELEASE.xml new file mode 100755 index 0000000..47306a7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_autoconfigure_1_5_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_autoconfigure_1_5_2_RELEASE.xml new file mode 100755 index 0000000..9c43590 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_boot_spring_boot_test_autoconfigure_1_5_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_commons_1_2_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_commons_1_2_0_RC1.xml new file mode 100755 index 0000000..0d33e90 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_commons_1_2_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_context_1_2_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_context_1_2_0_RC1.xml new file mode 100755 index 0000000..35d4441 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_context_1_2_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_core_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_core_1_3_0_RC1.xml new file mode 100755 index 0000000..2a6b691 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_core_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_client_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_client_1_3_0_RC1.xml new file mode 100755 index 0000000..8dcf988 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_client_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_server_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_server_1_3_0_RC1.xml new file mode 100755 index 0000000..6cb07c4 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_eureka_server_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_hystrix_dashboard_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_hystrix_dashboard_1_3_0_RC1.xml new file mode 100755 index 0000000..7e51a74 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_netflix_hystrix_dashboard_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_1_2_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_1_2_0_RC1.xml new file mode 100755 index 0000000..f94256a --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_1_2_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_archaius_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_archaius_1_3_0_RC1.xml new file mode 100755 index 0000000..551a84d --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_archaius_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_1_3_0_RC1.xml new file mode 100755 index 0000000..f01faa4 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_server_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_server_1_3_0_RC1.xml new file mode 100755 index 0000000..762118f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_eureka_server_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_1_3_0_RC1.xml new file mode 100755 index 0000000..323a9a5 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_dashboard_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_dashboard_1_3_0_RC1.xml new file mode 100755 index 0000000..cf8ae58 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_hystrix_dashboard_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_ribbon_1_3_0_RC1.xml b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_ribbon_1_3_0_RC1.xml new file mode 100755 index 0000000..7ad2392 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_cloud_spring_cloud_starter_ribbon_1_3_0_RC1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_crypto_4_2_2_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_crypto_4_2_2_RELEASE.xml new file mode 100755 index 0000000..fd753fa --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_crypto_4_2_2_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_rsa_1_0_3_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_rsa_1_0_3_RELEASE.xml new file mode 100755 index 0000000..4374e6f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_security_spring_security_rsa_1_0_3_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_aop_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_aop_4_3_7_RELEASE.xml new file mode 100755 index 0000000..bf601f7 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_aop_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_beans_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_beans_4_3_7_RELEASE.xml new file mode 100755 index 0000000..d8ecff6 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_beans_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_context_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_context_4_3_7_RELEASE.xml new file mode 100755 index 0000000..5d45305 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_context_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_context_support_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_context_support_4_3_7_RELEASE.xml new file mode 100755 index 0000000..91a99fa --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_context_support_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_core_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_core_4_3_7_RELEASE.xml new file mode 100755 index 0000000..a5c67a9 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_core_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_expression_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_expression_4_3_7_RELEASE.xml new file mode 100755 index 0000000..4e7b7b3 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_expression_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_test_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_test_4_3_7_RELEASE.xml new file mode 100755 index 0000000..ba8d8ee --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_test_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_web_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_web_4_3_7_RELEASE.xml new file mode 100755 index 0000000..fc0f227 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_web_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_springframework_spring_webmvc_4_3_7_RELEASE.xml b/chapter12/.idea/libraries/Maven__org_springframework_spring_webmvc_4_3_7_RELEASE.xml new file mode 100755 index 0000000..2e7b259 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_springframework_spring_webmvc_4_3_7_RELEASE.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_webjars_d3js_3_4_11.xml b/chapter12/.idea/libraries/Maven__org_webjars_d3js_3_4_11.xml new file mode 100755 index 0000000..5acf7ed --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_webjars_d3js_3_4_11.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_webjars_jquery_2_1_1.xml b/chapter12/.idea/libraries/Maven__org_webjars_jquery_2_1_1.xml new file mode 100755 index 0000000..355a136 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_webjars_jquery_2_1_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__org_yaml_snakeyaml_1_17.xml b/chapter12/.idea/libraries/Maven__org_yaml_snakeyaml_1_17.xml new file mode 100755 index 0000000..e6a9a38 --- /dev/null +++ b/chapter12/.idea/libraries/Maven__org_yaml_snakeyaml_1_17.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__stax_stax_api_1_0_1.xml b/chapter12/.idea/libraries/Maven__stax_stax_api_1_0_1.xml new file mode 100755 index 0000000..403bdce --- /dev/null +++ b/chapter12/.idea/libraries/Maven__stax_stax_api_1_0_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__xmlpull_xmlpull_1_1_3_1.xml b/chapter12/.idea/libraries/Maven__xmlpull_xmlpull_1_1_3_1.xml new file mode 100755 index 0000000..9eea95f --- /dev/null +++ b/chapter12/.idea/libraries/Maven__xmlpull_xmlpull_1_1_3_1.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/libraries/Maven__xpp3_xpp3_min_1_1_4c.xml b/chapter12/.idea/libraries/Maven__xpp3_xpp3_min_1_1_4c.xml new file mode 100755 index 0000000..b20ce8e --- /dev/null +++ b/chapter12/.idea/libraries/Maven__xpp3_xpp3_min_1_1_4c.xml @@ -0,0 +1,13 @@ + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/misc.xml b/chapter12/.idea/misc.xml new file mode 100755 index 0000000..9907f1e --- /dev/null +++ b/chapter12/.idea/misc.xml @@ -0,0 +1,15 @@ + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/modules.xml b/chapter12/.idea/modules.xml new file mode 100755 index 0000000..0dfcf06 --- /dev/null +++ b/chapter12/.idea/modules.xml @@ -0,0 +1,10 @@ + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/.idea/workspace.xml b/chapter12/.idea/workspace.xml new file mode 100755 index 0000000..3471776 --- /dev/null +++ b/chapter12/.idea/workspace.xml @@ -0,0 +1,1048 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + true + DEFINITION_ORDER + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + project + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1491458505949 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Spring + + + + + + + + + + + + + + + 1.8 + + + + + + + + eureka-server + + + + + + + + 1.8 + + + + + + + + Maven: antlr:antlr:2.7.7 + + + + + + + + \ No newline at end of file diff --git a/chapter12/chapter1.iml b/chapter12/chapter1.iml new file mode 100755 index 0000000..8d8ffd9 --- /dev/null +++ b/chapter12/chapter1.iml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/chapter12/eureka-server/.gitignore b/chapter12/eureka-server/.gitignore new file mode 100755 index 0000000..2af7cef --- /dev/null +++ b/chapter12/eureka-server/.gitignore @@ -0,0 +1,24 @@ +target/ +!.mvn/wrapper/maven-wrapper.jar + +### STS ### +.apt_generated +.classpath +.factorypath +.project +.settings +.springBeans + +### IntelliJ IDEA ### +.idea +*.iws +*.iml +*.ipr + +### NetBeans ### +nbproject/private/ +build/ +nbbuild/ +dist/ +nbdist/ +.nb-gradle/ \ No newline at end of file diff --git a/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.jar b/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.jar new file mode 100755 index 0000000..5fd4d50 Binary files /dev/null and b/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.jar differ diff --git a/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.properties b/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.properties new file mode 100755 index 0000000..c954cec --- /dev/null +++ b/chapter12/eureka-server/.mvn/wrapper/maven-wrapper.properties @@ -0,0 +1 @@ +distributionUrl=https://repo1.maven.org/maven2/org/apache/maven/apache-maven/3.3.9/apache-maven-3.3.9-bin.zip diff --git a/chapter12/eureka-server/mvnw b/chapter12/eureka-server/mvnw new file mode 100755 index 0000000..a1ba1bf --- /dev/null +++ b/chapter12/eureka-server/mvnw @@ -0,0 +1,233 @@ +#!/bin/sh +# ---------------------------------------------------------------------------- +# Licensed to the Apache Software Foundation (ASF) under one +# or more contributor license agreements. See the NOTICE file +# distributed with this work for additional information +# regarding copyright ownership. The ASF licenses this file +# to you under the Apache License, Version 2.0 (the +# "License"); you may not use this file except in compliance +# with the License. You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, +# software distributed under the License is distributed on an +# "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY +# KIND, either express or implied. See the License for the +# specific language governing permissions and limitations +# under the License. +# ---------------------------------------------------------------------------- + +# ---------------------------------------------------------------------------- +# Maven2 Start Up Batch script +# +# Required ENV vars: +# ------------------ +# JAVA_HOME - location of a JDK home dir +# +# Optional ENV vars +# ----------------- +# M2_HOME - location of maven2's installed home dir +# MAVEN_OPTS - parameters passed to the Java VM when running Maven +# e.g. to debug Maven itself, use +# set MAVEN_OPTS=-Xdebug -Xrunjdwp:transport=dt_socket,server=y,suspend=y,address=8000 +# MAVEN_SKIP_RC - flag to disable loading of mavenrc files +# ---------------------------------------------------------------------------- + +if [ -z "$MAVEN_SKIP_RC" ] ; then + + if [ -f /etc/mavenrc ] ; then + . /etc/mavenrc + fi + + if [ -f "$HOME/.mavenrc" ] ; then + . "$HOME/.mavenrc" + fi + +fi + +# OS specific support. $var _must_ be set to either true or false. +cygwin=false; +darwin=false; +mingw=false +case "`uname`" in + CYGWIN*) cygwin=true ;; + MINGW*) mingw=true;; + Darwin*) darwin=true + # + # Look for the Apple JDKs first to preserve the existing behaviour, and then look + # for the new JDKs provided by Oracle. + # + if [ -z "$JAVA_HOME" ] && [ -L /System/Library/Frameworks/JavaVM.framework/Versions/CurrentJDK ] ; then + # + # Apple JDKs + # + export JAVA_HOME=/System/Library/Frameworks/JavaVM.framework/Versions/CurrentJDK/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -L /System/Library/Java/JavaVirtualMachines/CurrentJDK ] ; then + # + # Apple JDKs + # + export JAVA_HOME=/System/Library/Java/JavaVirtualMachines/CurrentJDK/Contents/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -L "/Library/Java/JavaVirtualMachines/CurrentJDK" ] ; then + # + # Oracle JDKs + # + export JAVA_HOME=/Library/Java/JavaVirtualMachines/CurrentJDK/Contents/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -x "/usr/libexec/java_home" ]; then + # + # Apple JDKs + # + export JAVA_HOME=`/usr/libexec/java_home` + fi + ;; +esac + +if [ -z "$JAVA_HOME" ] ; then + if [ -r /etc/gentoo-release ] ; then + JAVA_HOME=`java-config --jre-home` + fi +fi + +if [ -z "$M2_HOME" ] ; then + ## resolve links - $0 may be a link to maven's home + PRG="$0" + + # need this for relative symlinks + while [ -h "$PRG" ] ; do + ls=`ls -ld "$PRG"` + link=`expr "$ls" : '.*-> \(.*\)$'` + if expr "$link" : '/.*' > /dev/null; then + PRG="$link" + else + PRG="`dirname "$PRG"`/$link" + fi + done + + saveddir=`pwd` + + M2_HOME=`dirname "$PRG"`/.. + + # make it fully qualified + M2_HOME=`cd "$M2_HOME" && pwd` + + cd "$saveddir" + # echo Using m2 at $M2_HOME +fi + +# For Cygwin, ensure paths are in UNIX format before anything is touched +if $cygwin ; then + [ -n "$M2_HOME" ] && + M2_HOME=`cygpath --unix "$M2_HOME"` + [ -n "$JAVA_HOME" ] && + JAVA_HOME=`cygpath --unix "$JAVA_HOME"` + [ -n "$CLASSPATH" ] && + CLASSPATH=`cygpath --path --unix "$CLASSPATH"` +fi + +# For Migwn, ensure paths are in UNIX format before anything is touched +if $mingw ; then + [ -n "$M2_HOME" ] && + M2_HOME="`(cd "$M2_HOME"; pwd)`" + [ -n "$JAVA_HOME" ] && + JAVA_HOME="`(cd "$JAVA_HOME"; pwd)`" + # TODO classpath? +fi + +if [ -z "$JAVA_HOME" ]; then + javaExecutable="`which javac`" + if [ -n "$javaExecutable" ] && ! [ "`expr \"$javaExecutable\" : '\([^ ]*\)'`" = "no" ]; then + # readlink(1) is not available as standard on Solaris 10. + readLink=`which readlink` + if [ ! `expr "$readLink" : '\([^ ]*\)'` = "no" ]; then + if $darwin ; then + javaHome="`dirname \"$javaExecutable\"`" + javaExecutable="`cd \"$javaHome\" && pwd -P`/javac" + else + javaExecutable="`readlink -f \"$javaExecutable\"`" + fi + javaHome="`dirname \"$javaExecutable\"`" + javaHome=`expr "$javaHome" : '\(.*\)/bin'` + JAVA_HOME="$javaHome" + export JAVA_HOME + fi + fi +fi + +if [ -z "$JAVACMD" ] ; then + if [ -n "$JAVA_HOME" ] ; then + if [ -x "$JAVA_HOME/jre/sh/java" ] ; then + # IBM's JDK on AIX uses strange locations for the executables + JAVACMD="$JAVA_HOME/jre/sh/java" + else + JAVACMD="$JAVA_HOME/bin/java" + fi + else + JAVACMD="`which java`" + fi +fi + +if [ ! -x "$JAVACMD" ] ; then + echo "Error: JAVA_HOME is not defined correctly." >&2 + echo " We cannot execute $JAVACMD" >&2 + exit 1 +fi + +if [ -z "$JAVA_HOME" ] ; then + echo "Warning: JAVA_HOME environment variable is not set." +fi + +CLASSWORLDS_LAUNCHER=org.codehaus.plexus.classworlds.launcher.Launcher + +# For Cygwin, switch paths to Windows format before running java +if $cygwin; then + [ -n "$M2_HOME" ] && + M2_HOME=`cygpath --path --windows "$M2_HOME"` + [ -n "$JAVA_HOME" ] && + JAVA_HOME=`cygpath --path --windows "$JAVA_HOME"` + [ -n "$CLASSPATH" ] && + CLASSPATH=`cygpath --path --windows "$CLASSPATH"` +fi + +# traverses directory structure from process work directory to filesystem root +# first directory with .mvn subdirectory is considered project base directory +find_maven_basedir() { + local basedir=$(pwd) + local wdir=$(pwd) + while [ "$wdir" != '/' ] ; do + if [ -d "$wdir"/.mvn ] ; then + basedir=$wdir + break + fi + wdir=$(cd "$wdir/.."; pwd) + done + echo "${basedir}" +} + +# concatenates all lines of a file +concat_lines() { + if [ -f "$1" ]; then + echo "$(tr -s '\n' ' ' < "$1")" + fi +} + +export MAVEN_PROJECTBASEDIR=${MAVEN_BASEDIR:-$(find_maven_basedir)} +MAVEN_OPTS="$(concat_lines "$MAVEN_PROJECTBASEDIR/.mvn/jvm.config") $MAVEN_OPTS" + +# Provide a "standardized" way to retrieve the CLI args that will +# work with both Windows and non-Windows executions. +MAVEN_CMD_LINE_ARGS="$MAVEN_CONFIG $@" +export MAVEN_CMD_LINE_ARGS + +WRAPPER_LAUNCHER=org.apache.maven.wrapper.MavenWrapperMain + +exec "$JAVACMD" \ + $MAVEN_OPTS \ + -classpath "$MAVEN_PROJECTBASEDIR/.mvn/wrapper/maven-wrapper.jar" \ + "-Dmaven.home=${M2_HOME}" "-Dmaven.multiModuleProjectDirectory=${MAVEN_PROJECTBASEDIR}" \ + ${WRAPPER_LAUNCHER} "$@" diff --git a/chapter12/eureka-server/mvnw.cmd b/chapter12/eureka-server/mvnw.cmd new file mode 100755 index 0000000..2b934e8 --- /dev/null +++ b/chapter12/eureka-server/mvnw.cmd @@ -0,0 +1,145 @@ +@REM ---------------------------------------------------------------------------- +@REM Licensed to the Apache Software Foundation (ASF) under one +@REM or more contributor license agreements. See the NOTICE file +@REM distributed with this work for additional information +@REM regarding copyright ownership. The ASF licenses this file +@REM to you under the Apache License, Version 2.0 (the +@REM "License"); you may not use this file except in compliance +@REM with the License. You may obtain a copy of the License at +@REM +@REM http://www.apache.org/licenses/LICENSE-2.0 +@REM +@REM Unless required by applicable law or agreed to in writing, +@REM software distributed under the License is distributed on an +@REM "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY +@REM KIND, either express or implied. See the License for the +@REM specific language governing permissions and limitations +@REM under the License. +@REM ---------------------------------------------------------------------------- + +@REM ---------------------------------------------------------------------------- +@REM Maven2 Start Up Batch script +@REM +@REM Required ENV vars: +@REM JAVA_HOME - location of a JDK home dir +@REM +@REM Optional ENV vars +@REM M2_HOME - location of maven2's installed home dir +@REM MAVEN_BATCH_ECHO - set to 'on' to enable the echoing of the batch commands +@REM MAVEN_BATCH_PAUSE - set to 'on' to wait for a key stroke before ending +@REM MAVEN_OPTS - parameters passed to the Java VM when running Maven +@REM e.g. to debug Maven itself, use +@REM set MAVEN_OPTS=-Xdebug -Xrunjdwp:transport=dt_socket,server=y,suspend=y,address=8000 +@REM MAVEN_SKIP_RC - flag to disable loading of mavenrc files +@REM ---------------------------------------------------------------------------- + +@REM Begin all REM lines with '@' in case MAVEN_BATCH_ECHO is 'on' +@echo off +@REM enable echoing my setting MAVEN_BATCH_ECHO to 'on' +@if "%MAVEN_BATCH_ECHO%" == "on" echo %MAVEN_BATCH_ECHO% + +@REM set %HOME% to equivalent of $HOME +if "%HOME%" == "" (set "HOME=%HOMEDRIVE%%HOMEPATH%") + +@REM Execute a user defined script before this one +if not "%MAVEN_SKIP_RC%" == "" goto skipRcPre +@REM check for pre script, once with legacy .bat ending and once with .cmd ending +if exist "%HOME%\mavenrc_pre.bat" call "%HOME%\mavenrc_pre.bat" +if exist "%HOME%\mavenrc_pre.cmd" call "%HOME%\mavenrc_pre.cmd" +:skipRcPre + +@setlocal + +set ERROR_CODE=0 + +@REM To isolate internal variables from possible post scripts, we use another setlocal +@setlocal + +@REM ==== START VALIDATION ==== +if not "%JAVA_HOME%" == "" goto OkJHome + +echo. +echo Error: JAVA_HOME not found in your environment. >&2 +echo Please set the JAVA_HOME variable in your environment to match the >&2 +echo location of your Java installation. >&2 +echo. +goto error + +:OkJHome +if exist "%JAVA_HOME%\bin\java.exe" goto init + +echo. +echo Error: JAVA_HOME is set to an invalid directory. >&2 +echo JAVA_HOME = "%JAVA_HOME%" >&2 +echo Please set the JAVA_HOME variable in your environment to match the >&2 +echo location of your Java installation. >&2 +echo. +goto error + +@REM ==== END VALIDATION ==== + +:init + +set MAVEN_CMD_LINE_ARGS=%* + +@REM Find the project base dir, i.e. the directory that contains the folder ".mvn". +@REM Fallback to current working directory if not found. + +set MAVEN_PROJECTBASEDIR=%MAVEN_BASEDIR% +IF NOT "%MAVEN_PROJECTBASEDIR%"=="" goto endDetectBaseDir + +set EXEC_DIR=%CD% +set WDIR=%EXEC_DIR% +:findBaseDir +IF EXIST "%WDIR%"\.mvn goto baseDirFound +cd .. +IF "%WDIR%"=="%CD%" goto baseDirNotFound +set WDIR=%CD% +goto findBaseDir + +:baseDirFound +set MAVEN_PROJECTBASEDIR=%WDIR% +cd "%EXEC_DIR%" +goto endDetectBaseDir + +:baseDirNotFound +set MAVEN_PROJECTBASEDIR=%EXEC_DIR% +cd "%EXEC_DIR%" + +:endDetectBaseDir + +IF NOT EXIST "%MAVEN_PROJECTBASEDIR%\.mvn\jvm.config" goto endReadAdditionalConfig + +@setlocal EnableExtensions EnableDelayedExpansion +for /F "usebackq delims=" %%a in ("%MAVEN_PROJECTBASEDIR%\.mvn\jvm.config") do set JVM_CONFIG_MAVEN_PROPS=!JVM_CONFIG_MAVEN_PROPS! %%a +@endlocal & set JVM_CONFIG_MAVEN_PROPS=%JVM_CONFIG_MAVEN_PROPS% + +:endReadAdditionalConfig + +SET MAVEN_JAVA_EXE="%JAVA_HOME%\bin\java.exe" + +set WRAPPER_JAR="".\.mvn\wrapper\maven-wrapper.jar"" +set WRAPPER_LAUNCHER=org.apache.maven.wrapper.MavenWrapperMain + +%MAVEN_JAVA_EXE% %JVM_CONFIG_MAVEN_PROPS% %MAVEN_OPTS% %MAVEN_DEBUG_OPTS% -classpath %WRAPPER_JAR% "-Dmaven.multiModuleProjectDirectory=%MAVEN_PROJECTBASEDIR%" %WRAPPER_LAUNCHER% %MAVEN_CMD_LINE_ARGS% +if ERRORLEVEL 1 goto error +goto end + +:error +set ERROR_CODE=1 + +:end +@endlocal & set ERROR_CODE=%ERROR_CODE% + +if not "%MAVEN_SKIP_RC%" == "" goto skipRcPost +@REM check for post script, once with legacy .bat ending and once with .cmd ending +if exist "%HOME%\mavenrc_post.bat" call "%HOME%\mavenrc_post.bat" +if exist "%HOME%\mavenrc_post.cmd" call "%HOME%\mavenrc_post.cmd" +:skipRcPost + +@REM pause the script if MAVEN_BATCH_PAUSE is set to 'on' +if "%MAVEN_BATCH_PAUSE%" == "on" pause + +if "%MAVEN_TERMINATE_CMD%" == "on" exit %ERROR_CODE% + +exit /B %ERROR_CODE% \ No newline at end of file diff --git a/chapter12/eureka-server/pom.xml b/chapter12/eureka-server/pom.xml new file mode 100755 index 0000000..c2d69ca --- /dev/null +++ b/chapter12/eureka-server/pom.xml @@ -0,0 +1,73 @@ + + + 4.0.0 + + com.forezp + eureka-server + 0.0.1-SNAPSHOT + jar + + eureka-server + Demo project for Spring Boot + + + org.springframework.boot + spring-boot-starter-parent + 1.5.2.RELEASE + + + + + UTF-8 + UTF-8 + 1.8 + + + + + org.springframework.cloud + spring-cloud-starter-eureka-server + + + + org.springframework.boot + spring-boot-starter-test + test + + + + + + + org.springframework.cloud + spring-cloud-dependencies + Dalston.RC1 + pom + import + + + + + + + + org.springframework.boot + spring-boot-maven-plugin + + + + + + + spring-milestones + Spring Milestones + https://repo.spring.io/milestone + + false + + + + + + diff --git a/chapter12/eureka-server/src/main/java/com/forezp/EurekaServerApplication.java b/chapter12/eureka-server/src/main/java/com/forezp/EurekaServerApplication.java new file mode 100755 index 0000000..05efafa --- /dev/null +++ b/chapter12/eureka-server/src/main/java/com/forezp/EurekaServerApplication.java @@ -0,0 +1,14 @@ +package com.forezp; + +import org.springframework.boot.SpringApplication; +import org.springframework.boot.autoconfigure.SpringBootApplication; +import org.springframework.cloud.netflix.eureka.server.EnableEurekaServer; + +@EnableEurekaServer +@SpringBootApplication +public class EurekaServerApplication { + + public static void main(String[] args) { + SpringApplication.run(EurekaServerApplication.class, args); + } +} diff --git a/chapter12/eureka-server/src/main/resources/application.yml b/chapter12/eureka-server/src/main/resources/application.yml new file mode 100755 index 0000000..8924195 --- /dev/null +++ b/chapter12/eureka-server/src/main/resources/application.yml @@ -0,0 +1,11 @@ +server: + port: 8761 + +eureka: + instance: + hostname: localhost + client: + registerWithEureka: false + fetchRegistry: false + serviceUrl: + defaultZone: http://${eureka.instance.hostname}:${server.port}/eureka/ \ No newline at end of file diff --git a/chapter12/eureka-server/src/test/java/com/forezp/EurekaServerApplicationTests.java b/chapter12/eureka-server/src/test/java/com/forezp/EurekaServerApplicationTests.java new file mode 100755 index 0000000..8de4708 --- /dev/null +++ b/chapter12/eureka-server/src/test/java/com/forezp/EurekaServerApplicationTests.java @@ -0,0 +1,16 @@ +package com.forezp; + +import org.junit.Test; +import org.junit.runner.RunWith; +import org.springframework.boot.test.context.SpringBootTest; +import org.springframework.test.context.junit4.SpringRunner; + +@RunWith(SpringRunner.class) +@SpringBootTest +public class EurekaServerApplicationTests { + + @Test + public void contextLoads() { + } + +} diff --git a/chapter12/pom.xml b/chapter12/pom.xml new file mode 100755 index 0000000..9255e4f --- /dev/null +++ b/chapter12/pom.xml @@ -0,0 +1,12 @@ + + + 4.0.0 + + com.forezp + chapter1 + 1.0-SNAPSHOT + + + \ No newline at end of file diff --git a/chapter12/service-hi/.gitignore b/chapter12/service-hi/.gitignore new file mode 100755 index 0000000..2af7cef --- /dev/null +++ b/chapter12/service-hi/.gitignore @@ -0,0 +1,24 @@ +target/ +!.mvn/wrapper/maven-wrapper.jar + +### STS ### +.apt_generated +.classpath +.factorypath +.project +.settings +.springBeans + +### IntelliJ IDEA ### +.idea +*.iws +*.iml +*.ipr + +### NetBeans ### +nbproject/private/ +build/ +nbbuild/ +dist/ +nbdist/ +.nb-gradle/ \ No newline at end of file diff --git a/chapter12/service-hi/.mvn/wrapper/maven-wrapper.jar b/chapter12/service-hi/.mvn/wrapper/maven-wrapper.jar new file mode 100755 index 0000000..5fd4d50 Binary files /dev/null and b/chapter12/service-hi/.mvn/wrapper/maven-wrapper.jar differ diff --git a/chapter12/service-hi/.mvn/wrapper/maven-wrapper.properties b/chapter12/service-hi/.mvn/wrapper/maven-wrapper.properties new file mode 100755 index 0000000..c954cec --- /dev/null +++ b/chapter12/service-hi/.mvn/wrapper/maven-wrapper.properties @@ -0,0 +1 @@ +distributionUrl=https://repo1.maven.org/maven2/org/apache/maven/apache-maven/3.3.9/apache-maven-3.3.9-bin.zip diff --git a/chapter12/service-hi/mvnw b/chapter12/service-hi/mvnw new file mode 100755 index 0000000..a1ba1bf --- /dev/null +++ b/chapter12/service-hi/mvnw @@ -0,0 +1,233 @@ +#!/bin/sh +# ---------------------------------------------------------------------------- +# Licensed to the Apache Software Foundation (ASF) under one +# or more contributor license agreements. See the NOTICE file +# distributed with this work for additional information +# regarding copyright ownership. The ASF licenses this file +# to you under the Apache License, Version 2.0 (the +# "License"); you may not use this file except in compliance +# with the License. You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, +# software distributed under the License is distributed on an +# "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY +# KIND, either express or implied. See the License for the +# specific language governing permissions and limitations +# under the License. +# ---------------------------------------------------------------------------- + +# ---------------------------------------------------------------------------- +# Maven2 Start Up Batch script +# +# Required ENV vars: +# ------------------ +# JAVA_HOME - location of a JDK home dir +# +# Optional ENV vars +# ----------------- +# M2_HOME - location of maven2's installed home dir +# MAVEN_OPTS - parameters passed to the Java VM when running Maven +# e.g. to debug Maven itself, use +# set MAVEN_OPTS=-Xdebug -Xrunjdwp:transport=dt_socket,server=y,suspend=y,address=8000 +# MAVEN_SKIP_RC - flag to disable loading of mavenrc files +# ---------------------------------------------------------------------------- + +if [ -z "$MAVEN_SKIP_RC" ] ; then + + if [ -f /etc/mavenrc ] ; then + . /etc/mavenrc + fi + + if [ -f "$HOME/.mavenrc" ] ; then + . "$HOME/.mavenrc" + fi + +fi + +# OS specific support. $var _must_ be set to either true or false. +cygwin=false; +darwin=false; +mingw=false +case "`uname`" in + CYGWIN*) cygwin=true ;; + MINGW*) mingw=true;; + Darwin*) darwin=true + # + # Look for the Apple JDKs first to preserve the existing behaviour, and then look + # for the new JDKs provided by Oracle. + # + if [ -z "$JAVA_HOME" ] && [ -L /System/Library/Frameworks/JavaVM.framework/Versions/CurrentJDK ] ; then + # + # Apple JDKs + # + export JAVA_HOME=/System/Library/Frameworks/JavaVM.framework/Versions/CurrentJDK/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -L /System/Library/Java/JavaVirtualMachines/CurrentJDK ] ; then + # + # Apple JDKs + # + export JAVA_HOME=/System/Library/Java/JavaVirtualMachines/CurrentJDK/Contents/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -L "/Library/Java/JavaVirtualMachines/CurrentJDK" ] ; then + # + # Oracle JDKs + # + export JAVA_HOME=/Library/Java/JavaVirtualMachines/CurrentJDK/Contents/Home + fi + + if [ -z "$JAVA_HOME" ] && [ -x "/usr/libexec/java_home" ]; then + # + # Apple JDKs + # + export JAVA_HOME=`/usr/libexec/java_home` + fi + ;; +esac + +if [ -z "$JAVA_HOME" ] ; then + if [ -r /etc/gentoo-release ] ; then + JAVA_HOME=`java-config --jre-home` + fi +fi + +if [ -z "$M2_HOME" ] ; then + ## resolve links - $0 may be a link to maven's home + PRG="$0" + + # need this for relative symlinks + while [ -h "$PRG" ] ; do + ls=`ls -ld "$PRG"` + link=`expr "$ls" : '.*-> \(.*\)$'` + if expr "$link" : '/.*' > /dev/null; then + PRG="$link" + else + PRG="`dirname "$PRG"`/$link" + fi + done + + saveddir=`pwd` + + M2_HOME=`dirname "$PRG"`/.. + + # make it fully qualified + M2_HOME=`cd "$M2_HOME" && pwd` + + cd "$saveddir" + # echo Using m2 at $M2_HOME +fi + +# For Cygwin, ensure paths are in UNIX format before anything is touched +if $cygwin ; then + [ -n "$M2_HOME" ] && + M2_HOME=`cygpath --unix "$M2_HOME"` + [ -n "$JAVA_HOME" ] && + JAVA_HOME=`cygpath --unix "$JAVA_HOME"` + [ -n "$CLASSPATH" ] && + CLASSPATH=`cygpath --path --unix "$CLASSPATH"` +fi + +# For Migwn, ensure paths are in UNIX format before anything is touched +if $mingw ; then + [ -n "$M2_HOME" ] && + M2_HOME="`(cd "$M2_HOME"; pwd)`" + [ -n "$JAVA_HOME" ] && + JAVA_HOME="`(cd "$JAVA_HOME"; pwd)`" + # TODO classpath? +fi + +if [ -z "$JAVA_HOME" ]; then + javaExecutable="`which javac`" + if [ -n "$javaExecutable" ] && ! [ "`expr \"$javaExecutable\" : '\([^ ]*\)'`" = "no" ]; then + # readlink(1) is not available as standard on Solaris 10. + readLink=`which readlink` + if [ ! `expr "$readLink" : '\([^ ]*\)'` = "no" ]; then + if $darwin ; then + javaHome="`dirname \"$javaExecutable\"`" + javaExecutable="`cd \"$javaHome\" && pwd -P`/javac" + else + javaExecutable="`readlink -f \"$javaExecutable\"`" + fi + javaHome="`dirname \"$javaExecutable\"`" + javaHome=`expr "$javaHome" : '\(.*\)/bin'` + JAVA_HOME="$javaHome" + export JAVA_HOME + fi + fi +fi + +if [ -z "$JAVACMD" ] ; then + if [ -n "$JAVA_HOME" ] ; then + if [ -x "$JAVA_HOME/jre/sh/java" ] ; then + # IBM's JDK on AIX uses strange locations for the executables + JAVACMD="$JAVA_HOME/jre/sh/java" + else + JAVACMD="$JAVA_HOME/bin/java" + fi + else + JAVACMD="`which java`" + fi +fi + +if [ ! -x "$JAVACMD" ] ; then + echo "Error: JAVA_HOME is not defined correctly." >&2 + echo " We cannot execute $JAVACMD" >&2 + exit 1 +fi + +if [ -z "$JAVA_HOME" ] ; then + echo "Warning: JAVA_HOME environment variable is not set." +fi + +CLASSWORLDS_LAUNCHER=org.codehaus.plexus.classworlds.launcher.Launcher + +# For Cygwin, switch paths to Windows format before running java +if $cygwin; then + [ -n "$M2_HOME" ] && + M2_HOME=`cygpath --path --windows "$M2_HOME"` + [ -n "$JAVA_HOME" ] && + JAVA_HOME=`cygpath --path --windows "$JAVA_HOME"` + [ -n "$CLASSPATH" ] && + CLASSPATH=`cygpath --path --windows "$CLASSPATH"` +fi + +# traverses directory structure from process work directory to filesystem root +# first directory with .mvn subdirectory is considered project base directory +find_maven_basedir() { + local basedir=$(pwd) + local wdir=$(pwd) + while [ "$wdir" != '/' ] ; do + if [ -d "$wdir"/.mvn ] ; then + basedir=$wdir + break + fi + wdir=$(cd "$wdir/.."; pwd) + done + echo "${basedir}" +} + +# concatenates all lines of a file +concat_lines() { + if [ -f "$1" ]; then + echo "$(tr -s '\n' ' ' < "$1")" + fi +} + +export MAVEN_PROJECTBASEDIR=${MAVEN_BASEDIR:-$(find_maven_basedir)} +MAVEN_OPTS="$(concat_lines "$MAVEN_PROJECTBASEDIR/.mvn/jvm.config") $MAVEN_OPTS" + +# Provide a "standardized" way to retrieve the CLI args that will +# work with both Windows and non-Windows executions. +MAVEN_CMD_LINE_ARGS="$MAVEN_CONFIG $@" +export MAVEN_CMD_LINE_ARGS + +WRAPPER_LAUNCHER=org.apache.maven.wrapper.MavenWrapperMain + +exec "$JAVACMD" \ + $MAVEN_OPTS \ + -classpath "$MAVEN_PROJECTBASEDIR/.mvn/wrapper/maven-wrapper.jar" \ + "-Dmaven.home=${M2_HOME}" "-Dmaven.multiModuleProjectDirectory=${MAVEN_PROJECTBASEDIR}" \ + ${WRAPPER_LAUNCHER} "$@" diff --git a/chapter12/service-hi/mvnw.cmd b/chapter12/service-hi/mvnw.cmd new file mode 100755 index 0000000..2b934e8 --- /dev/null +++ b/chapter12/service-hi/mvnw.cmd @@ -0,0 +1,145 @@ +@REM ---------------------------------------------------------------------------- +@REM Licensed to the Apache Software Foundation (ASF) under one +@REM or more contributor license agreements. See the NOTICE file +@REM distributed with this work for additional information +@REM regarding copyright ownership. The ASF licenses this file +@REM to you under the Apache License, Version 2.0 (the +@REM "License"); you may not use this file except in compliance +@REM with the License. You may obtain a copy of the License at +@REM +@REM http://www.apache.org/licenses/LICENSE-2.0 +@REM +@REM Unless required by applicable law or agreed to in writing, +@REM software distributed under the License is distributed on an +@REM "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY +@REM KIND, either express or implied. See the License for the +@REM specific language governing permissions and limitations +@REM under the License. +@REM ---------------------------------------------------------------------------- + +@REM ---------------------------------------------------------------------------- +@REM Maven2 Start Up Batch script +@REM +@REM Required ENV vars: +@REM JAVA_HOME - location of a JDK home dir +@REM +@REM Optional ENV vars +@REM M2_HOME - location of maven2's installed home dir +@REM MAVEN_BATCH_ECHO - set to 'on' to enable the echoing of the batch commands +@REM MAVEN_BATCH_PAUSE - set to 'on' to wait for a key stroke before ending +@REM MAVEN_OPTS - parameters passed to the Java VM when running Maven +@REM e.g. to debug Maven itself, use +@REM set MAVEN_OPTS=-Xdebug -Xrunjdwp:transport=dt_socket,server=y,suspend=y,address=8000 +@REM MAVEN_SKIP_RC - flag to disable loading of mavenrc files +@REM ---------------------------------------------------------------------------- + +@REM Begin all REM lines with '@' in case MAVEN_BATCH_ECHO is 'on' +@echo off +@REM enable echoing my setting MAVEN_BATCH_ECHO to 'on' +@if "%MAVEN_BATCH_ECHO%" == "on" echo %MAVEN_BATCH_ECHO% + +@REM set %HOME% to equivalent of $HOME +if "%HOME%" == "" (set "HOME=%HOMEDRIVE%%HOMEPATH%") + +@REM Execute a user defined script before this one +if not "%MAVEN_SKIP_RC%" == "" goto skipRcPre +@REM check for pre script, once with legacy .bat ending and once with .cmd ending +if exist "%HOME%\mavenrc_pre.bat" call "%HOME%\mavenrc_pre.bat" +if exist "%HOME%\mavenrc_pre.cmd" call "%HOME%\mavenrc_pre.cmd" +:skipRcPre + +@setlocal + +set ERROR_CODE=0 + +@REM To isolate internal variables from possible post scripts, we use another setlocal +@setlocal + +@REM ==== START VALIDATION ==== +if not "%JAVA_HOME%" == "" goto OkJHome + +echo. +echo Error: JAVA_HOME not found in your environment. >&2 +echo Please set the JAVA_HOME variable in your environment to match the >&2 +echo location of your Java installation. >&2 +echo. +goto error + +:OkJHome +if exist "%JAVA_HOME%\bin\java.exe" goto init + +echo. +echo Error: JAVA_HOME is set to an invalid directory. >&2 +echo JAVA_HOME = "%JAVA_HOME%" >&2 +echo Please set the JAVA_HOME variable in your environment to match the >&2 +echo location of your Java installation. >&2 +echo. +goto error + +@REM ==== END VALIDATION ==== + +:init + +set MAVEN_CMD_LINE_ARGS=%* + +@REM Find the project base dir, i.e. the directory that contains the folder ".mvn". +@REM Fallback to current working directory if not found. + +set MAVEN_PROJECTBASEDIR=%MAVEN_BASEDIR% +IF NOT "%MAVEN_PROJECTBASEDIR%"=="" goto endDetectBaseDir + +set EXEC_DIR=%CD% +set WDIR=%EXEC_DIR% +:findBaseDir +IF EXIST "%WDIR%"\.mvn goto baseDirFound +cd .. +IF "%WDIR%"=="%CD%" goto baseDirNotFound +set WDIR=%CD% +goto findBaseDir + +:baseDirFound +set MAVEN_PROJECTBASEDIR=%WDIR% +cd "%EXEC_DIR%" +goto endDetectBaseDir + +:baseDirNotFound +set MAVEN_PROJECTBASEDIR=%EXEC_DIR% +cd "%EXEC_DIR%" + +:endDetectBaseDir + +IF NOT EXIST "%MAVEN_PROJECTBASEDIR%\.mvn\jvm.config" goto endReadAdditionalConfig + +@setlocal EnableExtensions EnableDelayedExpansion +for /F "usebackq delims=" %%a in ("%MAVEN_PROJECTBASEDIR%\.mvn\jvm.config") do set JVM_CONFIG_MAVEN_PROPS=!JVM_CONFIG_MAVEN_PROPS! %%a +@endlocal & set JVM_CONFIG_MAVEN_PROPS=%JVM_CONFIG_MAVEN_PROPS% + +:endReadAdditionalConfig + +SET MAVEN_JAVA_EXE="%JAVA_HOME%\bin\java.exe" + +set WRAPPER_JAR="".\.mvn\wrapper\maven-wrapper.jar"" +set WRAPPER_LAUNCHER=org.apache.maven.wrapper.MavenWrapperMain + +%MAVEN_JAVA_EXE% %JVM_CONFIG_MAVEN_PROPS% %MAVEN_OPTS% %MAVEN_DEBUG_OPTS% -classpath %WRAPPER_JAR% "-Dmaven.multiModuleProjectDirectory=%MAVEN_PROJECTBASEDIR%" %WRAPPER_LAUNCHER% %MAVEN_CMD_LINE_ARGS% +if ERRORLEVEL 1 goto error +goto end + +:error +set ERROR_CODE=1 + +:end +@endlocal & set ERROR_CODE=%ERROR_CODE% + +if not "%MAVEN_SKIP_RC%" == "" goto skipRcPost +@REM check for post script, once with legacy .bat ending and once with .cmd ending +if exist "%HOME%\mavenrc_post.bat" call "%HOME%\mavenrc_post.bat" +if exist "%HOME%\mavenrc_post.cmd" call "%HOME%\mavenrc_post.cmd" +:skipRcPost + +@REM pause the script if MAVEN_BATCH_PAUSE is set to 'on' +if "%MAVEN_BATCH_PAUSE%" == "on" pause + +if "%MAVEN_TERMINATE_CMD%" == "on" exit %ERROR_CODE% + +exit /B %ERROR_CODE% \ No newline at end of file diff --git a/chapter12/service-hi/pom.xml b/chapter12/service-hi/pom.xml new file mode 100755 index 0000000..353a5ef --- /dev/null +++ b/chapter12/service-hi/pom.xml @@ -0,0 +1,90 @@ + + + 4.0.0 + + com.forezp + service-hi + 0.0.1-SNAPSHOT + jar + + service-hi + Demo project for Spring Boot + + + org.springframework.boot + spring-boot-starter-parent + 1.5.2.RELEASE + + + + + UTF-8 + UTF-8 + 1.8 + + + + + org.springframework.cloud + spring-cloud-starter-eureka + + + org.springframework.boot + spring-boot-starter-web + + + + org.springframework.boot + spring-boot-starter-test + test + + + org.springframework.boot + spring-boot-starter-actuator + + + + org.springframework.cloud + spring-cloud-starter-hystrix-dashboard + + + org.springframework.cloud + spring-cloud-starter-hystrix + + + + + + + org.springframework.cloud + spring-cloud-dependencies + Dalston.RC1 + pom + import + + + + + + + + org.springframework.boot + spring-boot-maven-plugin + + + + + + + spring-milestones + Spring Milestones + https://repo.spring.io/milestone + + false + + + + + + diff --git a/chapter12/service-hi/src/main/java/com/forezp/ServiceHiApplication.java b/chapter12/service-hi/src/main/java/com/forezp/ServiceHiApplication.java new file mode 100755 index 0000000..fa5de77 --- /dev/null +++ b/chapter12/service-hi/src/main/java/com/forezp/ServiceHiApplication.java @@ -0,0 +1,36 @@ +package com.forezp; + +import com.netflix.hystrix.contrib.javanica.annotation.HystrixCommand; +import org.springframework.beans.factory.annotation.Value; +import org.springframework.boot.SpringApplication; +import org.springframework.boot.autoconfigure.SpringBootApplication; +import org.springframework.cloud.netflix.eureka.EnableEurekaClient; +import org.springframework.cloud.netflix.hystrix.EnableHystrix; +import org.springframework.cloud.netflix.hystrix.dashboard.EnableHystrixDashboard; +import org.springframework.web.bind.annotation.RequestMapping; +import org.springframework.web.bind.annotation.RequestParam; +import org.springframework.web.bind.annotation.RestController; + +@SpringBootApplication +@EnableEurekaClient +@RestController +@EnableHystrix +@EnableHystrixDashboard +public class ServiceHiApplication { + + public static void main(String[] args) { + SpringApplication.run(ServiceHiApplication.class, args); + } + + @Value("${server.port}") + String port; + @RequestMapping("/hi") + @HystrixCommand(fallbackMethod = "hiError") + public String home(@RequestParam String name) { + return "hi "+name+",i am from port:" +port; + } + + public String hiError(String name) { + return "hi,"+name+",sorry,error!"; + } +} diff --git a/chapter12/service-hi/src/main/resources/bootstrap.yml b/chapter12/service-hi/src/main/resources/bootstrap.yml new file mode 100755 index 0000000..2cc9eae --- /dev/null +++ b/chapter12/service-hi/src/main/resources/bootstrap.yml @@ -0,0 +1,9 @@ +eureka: + client: + serviceUrl: + defaultZone: http://localhost:8761/eureka/ +server: + port: 8762 +spring: + application: + name: service-hi \ No newline at end of file diff --git a/chapter12/service-hi/src/test/java/com/forezp/ServiceHiApplicationTests.java b/chapter12/service-hi/src/test/java/com/forezp/ServiceHiApplicationTests.java new file mode 100755 index 0000000..343e1bc --- /dev/null +++ b/chapter12/service-hi/src/test/java/com/forezp/ServiceHiApplicationTests.java @@ -0,0 +1,16 @@ +package com.forezp; + +import org.junit.Test; +import org.junit.runner.RunWith; +import org.springframework.boot.test.context.SpringBootTest; +import org.springframework.test.context.junit4.SpringRunner; + +@RunWith(SpringRunner.class) +@SpringBootTest +public class ServiceHiApplicationTests { + + @Test + public void contextLoads() { + } + +}